skip to main content
10.1145/3149412.3149413acmconferencesArticle/Chapter ViewAbstractPublication PagesicseConference Proceedingsconference-collections
research-article

Dynamic Application-aware Power Capping

Published:12 November 2017Publication History

ABSTRACT

A future large-scale high-performance computing (HPC) cluster will likely be power capped since the surrounding infrastructure like power supply and cooling is constrained. For such a cluster, it may be impossible to supply thermal design power (TDP) to all components. The default power supply of current system guarantees TDP to each computing node will become unfeasible. Power capping was introduced to limit power consumption to a value below TDP, with the drawback of resulting performance limitations. We developed an alternative dynamic application-aware power scheduling (DAPS) strategy to enforce a predetermined power limit and at the same time improve the cluster-wide performance. The power scheduling decision is guided by the cap value, the hardware usage, and the application-specific performance sensitivity to power. Applying DAPS on a test platform comprising 12 computing nodes with three representative applications, we obtained a performance improvement up to 17% compared to a strategy that distributes power equally and statically across nodes.

References

  1. Axel Auweter, Arndt Bode, Matthias Brehm, Luigi Brochard, Nicolay Hammer, Herbert Huber, Raj Panda, Francois Thomas, and Torsten Wilde. 2014. A case study of energy aware scheduling on supermuc. In International Supercomputing Conference. Springer, 394--409. Google ScholarGoogle ScholarDigital LibraryDigital Library
  2. Karel De Vogeleer, Gerard Memmi, Pierre Jouvelot, and Fabien Coelho. 2013. The energy/frequency convexity rule: Modeling and experimental validation on mobile devices. In International Conference on Parallel Processing and Applied Mathematics. Springer, 793--803.Google ScholarGoogle Scholar
  3. Qingyuan Deng, David Meisner, Abhishek Bhattacharjee, Thomas F Wenisch, and Ricardo Bianchini. 2012. Coscale: Coordinating cpu and memory system dvfs in server systems. In Proceedings of the 2012 45th Annual IEEE/ACM International Symposium on Microarchitecture. IEEE Computer Society, 143--154.Google ScholarGoogle ScholarDigital LibraryDigital Library
  4. Martin Dimitrov. 2012. Intel Power Governor. https://software.intel.com/en-us/articles/intel-power-governor. (2012).Google ScholarGoogle Scholar
  5. Daniel A Ellsworth, Allen D Malony, Barry Rountree, and Martin Schulz. 2015. Dynamic power sharing for higher job throughput. In Proceedings of the International Conference for High Performance Computing, Networking, Storage and Analysis. ACM, 80.Google ScholarGoogle ScholarDigital LibraryDigital Library
  6. Institute for Combustion Technologe. 2015. Psopen. http://www.fz-juelich.de/ias/jsc/EN/Expertise/High-Q-Club/psOpen/_node.html. (2015).Google ScholarGoogle Scholar
  7. SPEC HPG. 2007. SPEC MPI2007. https://www.spec.org/mpi2007/. (2007).Google ScholarGoogle Scholar
  8. SPEC HPG. 2012. SPEC OMP2012. https://www.spec.org/omp2012/. (2012).Google ScholarGoogle Scholar
  9. Tapasya Patki. 2013. librapl. https://github.com/tpatki/librapl/tree/master/libmsr. (2013).Google ScholarGoogle Scholar
  10. Tapasya Patki, David K Lowenthal, Barry Rountree, Martin Schulz, and Bronis R De Supinski. 2013. Exploring hardware overprovisioning in power-constrained, high performance computing. In Proceedings of the 27th international ACM conference on International conference on supercomputing. ACM, 173-182. Google ScholarGoogle ScholarDigital LibraryDigital Library
  11. Tapasya Patki, David K Lowenthal, Anjana Sasidharan, Matthias Maiterth, Barry L Rountree, Martin Schulz, and Bronis R De Supinski. 2015. Practical resource management in power-constrained, high performance computing. In Proceedings of the 24th International Symposium on High-Performance Parallel and Distributed Computing. ACM, 121-132. Google ScholarGoogle ScholarDigital LibraryDigital Library
  12. Barry Rountree, Dong H Ahn, Bronis R De Supinski, David K Lowenthal, and Martin Schulz. 2012. Beyond DVFS: A first look at performance under a hardware-enforced power bound. In Parallel and Distributed Processing Symposium Workshops & PhD Forum (IPDPSW), 2012 IEEE 26th International. IEEE, 947-953.Google ScholarGoogle ScholarDigital LibraryDigital Library
  13. Barry Rountree, David K Lowenthal, Shelby Funk, Vincent W Freeh, Bronis R De Supinski, and Martin Schulz. 2007. Bounding energy consumption in large-scale MPI programs. In Proceedings of the 2007 ACM/IEEE conference on Supercomputing. ACM, 49. Google ScholarGoogle ScholarDigital LibraryDigital Library
  14. Barry Rountree, David K Lownenthal, Bronis R De Supinski, Martin Schulz, Vincent W Freeh, and Tyler Bletsch. 2009. Adagio: making DVS practical for complex HPC applications. In Proceedings of the 23rd international conference on Supercomputing. ACM, 460-469. Google ScholarGoogle ScholarDigital LibraryDigital Library
  15. Osman Sarood, Akhil Langer, Abhishek Gupta, and Laxmikant Kale. 2014. Maximizing throughput of overprovisioned hpc data centers under a strict power budget. In Proceedings of the International Conference for High Performance Computing, Networking, Storage and Analysis. IEEE Press, 807-818. Google ScholarGoogle ScholarDigital LibraryDigital Library
  16. Robert Schöne and Daniel Hackenberg. 2011. On-line analysis of hardware performance events for workload characterization and processor frequency scaling decisions. In Proceedings of the 2nd ACM/SPEC International Conference on Performance engineering. ACM, 481-486. Google ScholarGoogle ScholarDigital LibraryDigital Library
  17. Bo Wang, Dirk Schmidl, and Matthias S Müller. 2015. Evaluating the energy consumption of openmp applications on Haswell processors. In International Workshop on OpenMP. Springer, 233-246. Google ScholarGoogle ScholarCross RefCross Ref

Index Terms

  1. Dynamic Application-aware Power Capping

        Recommendations

        Comments

        Login options

        Check if you have access through your login credentials or your institution to get full access on this article.

        Sign in
        • Published in

          cover image ACM Conferences
          E2SC'17: Proceedings of the 5th International Workshop on Energy Efficient Supercomputing
          November 2017
          84 pages
          ISBN:9781450351324
          DOI:10.1145/3149412

          Copyright © 2017 ACM

          Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

          Publisher

          Association for Computing Machinery

          New York, NY, United States

          Publication History

          • Published: 12 November 2017

          Permissions

          Request permissions about this article.

          Request Permissions

          Check for updates

          Qualifiers

          • research-article
          • Research
          • Refereed limited

          Acceptance Rates

          E2SC'17 Paper Acceptance Rate10of21submissions,48%Overall Acceptance Rate17of33submissions,52%

          Upcoming Conference

          ICSE 2024

        PDF Format

        View or Download as a PDF file.

        PDF

        eReader

        View online with eReader.

        eReader