skip to main content
research-article

Continuous Online Self-Monitoring Introspection Circuitry for Timing Repair by Incremental Partial-Reconfiguration (COSMIC TRIP)

Published:26 January 2018Publication History
Skip Abstract Section

Abstract

We show that continuously monitoring on-chip delays at the LUT-to-LUT link level during operation allows a field-programmable gate array to detect and self-adapt to aging and environmental timing effects. Using a lightweight (<4% added area) mechanism for monitoring transition timing, a Difference Detector with First-Fail Latch, we can estimate the timing margin on circuits and identify the individual links that have degraded and whose delay is determining the worst-case circuit delay. Combined with Choose-Your-own-Adventure precomputed, fine-grained repair alternatives, we introduce a strategy for rapid, in-system incremental repair of links with degraded timing. We show that these techniques allow us to respond to a single aging event in less than 190ms for the toronto20 benchmarks. The result is a step toward systems where adaptive reconfiguration on the time-scale of seconds is viable and beneficial.

References

  1. Syed M. Alam, Gan Chee Lip, Carl V. Thompson, and Donald E. Troxel. 2004. Circuit level reliability analysis of Cu interconnects. In Proceedings of the International Symposium on Quality Electronic Design. 238--243. Google ScholarGoogle ScholarDigital LibraryDigital Library
  2. Altera. 2005. Implementing PLL Reconfiguration in Stratix 8 Stratix GX Devices (AN282). Retrieved from https://www.altera.com/content/dam/altera-www/global/en_US/pdfs/literature/an/an282.pdf.Google ScholarGoogle Scholar
  3. Todd Austin, David Blaauw, Trevor Mudge, and Krisztián Flautner. 2004. Making typical silicon matter with razor. IEEE Comput. 37, 3 (March 2004), 57--65. Google ScholarGoogle ScholarDigital LibraryDigital Library
  4. Vaughn Betz and Jonathan Rose. 1999. FPGA Place-and-Route Challenge. Retrieved from http://www.eecg.toronto.edu/&sim;vaughn/challenge/challenge.html.Google ScholarGoogle Scholar
  5. Vaughn Betz, Jonathan Rose, and Alexander Marquardt. 1999. Architecture and CAD for Deep-Submicron FPGAs. Kluwer Academic Publishers, Norwell, MA. Google ScholarGoogle ScholarDigital LibraryDigital Library
  6. David Bol, Renaud Ambroise, Denis Flandre, and Jean-Didier Legat. 2009. Interests and limitations of technology scaling for subthreshold logic. IEEE Trans. VLSI Syst. 17, 10 (2009), 1508--1519. Google ScholarGoogle ScholarDigital LibraryDigital Library
  7. Shekhar Borkar. 2004. Microarchitecture and Design Challenges for Gigascale Integration. Retrieved from http://www.microarch.org/micro37/presentations/MICRO37%20Sborkar.pdf. Keynote talk of the International Symposium on Microarchitecture. Google ScholarGoogle ScholarDigital LibraryDigital Library
  8. C. T. Chow, L. S. M. Tsui, Philip H. W. Leong, Wayne Luk, and Steve J. E. Wilton. 2005. Dynamic voltage scaling for commercial FPGAs. In Proceedings of the International Conference on Field-Programmable Technology. 173--180.Google ScholarGoogle Scholar
  9. Lloyd Condra, J. Qin, and Joseph B. Bernstein. 2007. State of the art semiconductor devices in future aerospace systems. In Proceedings of the FAA/NASA/DoD Joint Council on Aging Aircraft Conf.Google ScholarGoogle Scholar
  10. Thomas Cover and Joy Thomas. 1991. Elements of Information Theory. John Wiley and Sons, Inc., New York. Google ScholarGoogle Scholar
  11. André DeHon and Nikil Mehta. 2013. Exploiting partially defective LUTs: Why you don’t need perfect fabrication. In Proceedings of the International Conference on Field-Programmable Technology. 12--19Google ScholarGoogle ScholarCross RefCross Ref
  12. Claudio Favi and Edoardo Charbon. 2009. A 17ps time-to-digital converter implemented in 65nm FPGA technology. In Proceedings of the International Symposium on Field-Programmable Gate Arrays. 113--120. Retrieved from Google ScholarGoogle ScholarDigital LibraryDigital Library
  13. Hans Giesen, Benjamin Gojman, Raphael Rubin, and André DeHon. 2016. Continuous online self-monitoring introspection circuitry for timing repair by incremental partial-reconfiguration (COSMIC TRIP). In Proceedings of the FCCM. 111--118.Google ScholarGoogle ScholarCross RefCross Ref
  14. Hans Giesen, Raphael Rubin, Benjamin Gojman, and André DeHon. 2017. Quality-time tradeoffs in component-specific mapping: How to train your dynamically reconfigurable array of gates with outrageous network-delays. In Proceedings of the International Symposium on Field-Programmable Gate Arrays. 85--94. Google ScholarGoogle ScholarDigital LibraryDigital Library
  15. Jeffrey B. Goeders and Steven J. E. Wilton. 2012. VersaPower: Power estimation for diverse FPGA architectures. In Proceedings of the International Conference on Field-Programmable Technology. 229--234.Google ScholarGoogle Scholar
  16. Benjamin Gojman and André DeHon. 2014. GROK-INT: Generating real on-chip knowledge for interconnect delays using timing extraction. In Proceedings of the IEEE Symposium on Field-Programmable Custom Computing Machines. 88--95. Google ScholarGoogle ScholarDigital LibraryDigital Library
  17. Benjamin Gojman, Sirisha Nalmela, Nikil Mehta, Nicholas Howarth, and André DeHon. 2014. GROK-LAB: Generating real on-chip knowledge for intra-cluster delays using timing extraction. ACM Trans. Reconfig. Tech. Syst. 7, 4, Article 5 (Dec. 2014), 23 pages. Google ScholarGoogle ScholarDigital LibraryDigital Library
  18. Arthur E. Hoerl and Robert W. Kennard. 1970. Ridge regression: Biased estimation for nonorthogonal problems. Technometrics 12, 1 (Feb. 1970), 55--67.Google ScholarGoogle ScholarCross RefCross Ref
  19. Edin Kadric, Kunal Mahajan, and André DeHon. 2014. Energy reduction through differential reliability and lightweight checking. In Proceedings of the IEEE Symposium on Field-Programmable Custom Computing Machines. 243--250 Google ScholarGoogle ScholarDigital LibraryDigital Library
  20. Guy Lemieux, Edmund Lee, Marvin Tom, and Anthony Yu. 2004. Directional and single-driver wires in FPGA interconnect. In Proceedings of the International Conference on Field-Programmable Technology. 41--48.Google ScholarGoogle ScholarCross RefCross Ref
  21. Joshua M. Levine, Edward Stott, and Peter Y. K. Cheung. 2014. Dynamic voltage 8 frequency scaling with online slack measurement. In Proceedings of the International Symposium on Field-Programmable Gate Arrays. 65--74. Google ScholarGoogle ScholarDigital LibraryDigital Library
  22. Joshua M. Levine, Edward Stott, George A. Constantinides, and Peter Y. K. Cheung. 2012. Online measurement of timing in circuits: For health monitoring and dynamic voltage 8 frequency scaling. In Proceedings of the IEEE Symposium on Field-Programmable Custom Computing Machines. 109--116. Google ScholarGoogle ScholarDigital LibraryDigital Library
  23. David Lewis, Elias Ahmed, David Cashman, Tim Vanderhoek, Chris Lane, Andy Lee, and Philip Pan. 2009. Architectural enhancements in Stratix-III and Stratix-IV. In Proceedings of the International Symposium on Field-Programmable Gate Arrays. 33--42. Google ScholarGoogle ScholarDigital LibraryDigital Library
  24. David Lewis, Vaughn Betz, David Jefferson, Andy Lee, Chris Lane, Paul Leventis, Sandy Marquardt, Cameron McClintock, Bruce Pedersen, Giles Powell, Srinivas Reddy, Chris Wysocki, Richard Cliff, and Jonathan Rose. 2003. The stratix routing and logic architecture. In Proceedings of the International Symposium on Field-Programmable Gate Arrays. 12--20. Google ScholarGoogle ScholarDigital LibraryDigital Library
  25. David Lewis, David Cashman, Mark Chan, Jeffery Chromczak, Gary Lai, Andy Lee, Tim Vanderhoek, and Haiming Yu. 2013. Architectural enhancements in Stratix V. In Proceedings of the International Symposium on Field-Programmable Gate Arrays. 147--156. Google ScholarGoogle ScholarDigital LibraryDigital Library
  26. Chin Jen Lin and S. M. Reddy. 1987. On delay fault testing in logic circuits. IEEE Trans. Comput.-Aid. Des. 6, 5 (September 1987), 694--703. Google ScholarGoogle ScholarDigital LibraryDigital Library
  27. Timothy A. Linscott, Benjamin Gojman, Raphael Rubin, and André DeHon. 2016. Pitfalls and tradeoffs in simultaneous, on-chip FPGA delay measurement. In Proceedings of the International Symposium on Field-Programmable Gate Arrays. 100--104. Google ScholarGoogle ScholarDigital LibraryDigital Library
  28. Jason Luu, Jeffrey Goeders, Michael Wainberg, Andrew Somerville, Thien Yu, Konstantin Nasartschuk, Miad Nasr, Sen Wang, Tim Liu, Nooruddin Ahmed, Kenneth B. Kent, Jason Anderson, Jonathan Rose, and Vaughn Betz. 2014. VTR 7.0: Next generation architecture and CAD system for FPGAs. ACM Trans. Reconfig. Tech. Syst. 7, 2 (July 2014), 6:1--6:30. Google ScholarGoogle ScholarDigital LibraryDigital Library
  29. Jason Luu, Ian Kuon, Peter Jamieson, Ted Campbell, Andy Ye, Wei Mark Fang, and Jonathan Rose. 2009. VPR 5.0: FPGA CAD and architecture exploration tools with single-driver routing, heterogeneity and process scaling. In Proceedings of the FPGA. 133--142. Google ScholarGoogle ScholarDigital LibraryDigital Library
  30. Alexander Marquardt, Vaughn Betz, and Jonathan Rose. 2000. Timing-driven placement for FPGAs. In Proceedings of the FPGA. 203--213. Google ScholarGoogle ScholarDigital LibraryDigital Library
  31. M. Imran Masud and Steve Wilton. 1999. A new switch block for segmented FPGAs. In Proceedings of the International Conference on Field-Programmable Logic and Applications. 274--281. Google ScholarGoogle ScholarDigital LibraryDigital Library
  32. F. G. Maunsell. 1937. A problem in cartophily. Math. Gazette 22 (1937), 328--331.Google ScholarGoogle ScholarCross RefCross Ref
  33. Nikil Mehta, Raphael Rubin, and André DeHon. 2012. Limit Study of Energy 8 Delay Benefits of Component-Specific Routing. In Proceedings of the International Symposium on Field-Programmable Gate Arrays. 97--106. Google ScholarGoogle ScholarDigital LibraryDigital Library
  34. Evelyn Mintarno, Joëlle Skaf, Rui Zheng, Jyothi Velamela, Yu Cao, Stephen Boyd, Robert Dutton, and Subhasish Mitra. 2011. Self-tuning for maximized lifetime energy-efficiency in the presence of circuit aging. IEEE Trans. Comput.-Aid. Des. 30, 5 (May 2011), 760--773. Google ScholarGoogle ScholarDigital LibraryDigital Library
  35. Shing-Hwa Renn, Christine Raynaud, Jean-Luc Pelloie, and Francis Balestra. 1998. A thorough investigation of the degradation induced by hot-carrier injection in deep submicron N- and P-channel partially and fully depleted unibond and SIMOX MOSFETs. IEEE Trans. Electron. Dev. 45, 10 (October 1998), 2146--2152.Google ScholarGoogle Scholar
  36. Jonathan Rose and Stephen Brown. 1991. Flexibility of interconnection structures for field-programmable gate arrays. IEEE J. Solid-State Circ. 26, 3 (March 1991), 277--282.Google ScholarGoogle ScholarCross RefCross Ref
  37. Elyse Rosenbaum, Peter M. Lee, Reza Moazzami, P. K. Ko, and Chenming Hu. 1989. Circuit reliability simulator-oxide breakdown module. In Technical Digest of the IEEE International Electron Device Meeting. 331--334.Google ScholarGoogle ScholarCross RefCross Ref
  38. Raphael Rubin and André DeHon. 2011. Choose-Your-Own-Adventure Routing: Lightweight Load-Time Defect Avoidance. ACM Trans. Reconfig. Tech. Syst. 4, 4 (December 2011), 33:1--33:24. Retrieved from Google ScholarGoogle ScholarDigital LibraryDigital Library
  39. Dieter K. Schroder and Jeff A. Babcock. 2003. Negative bias temperature instability: Road to cross in deep submicron silicon semiconductor manufacturing. J. Appl. Phys. 94, 1 (July 2003), 1--18.Google ScholarGoogle ScholarCross RefCross Ref
  40. Stefanos Sidiropoulos and Mark A. Horowitz. 1997. A semidigital dual delay-locked loop. IEEE J. Solid-State Circ. 32, 11 (Nov 1997), 1683--1692.Google ScholarGoogle ScholarCross RefCross Ref
  41. Steven K. Sinha, Peter M. Kamarchik, and Seth Copen Goldstein. 2000. Tunable fault tolerance for runtime reconfigurable architectures. In Proceedings of the IEEE Symposium on Field-Programmable Custom Computing Machines. 185--192. Google ScholarGoogle ScholarDigital LibraryDigital Library
  42. Suresh Srinivasan, Ramakrishnan Krishnan, Prasanth Mangalagiri, Yuan Xie, Vijaykrishnan Narayanan, Mary Jane Irwin, and Karthik Sarpatwari. 2008. Toward increasing FPGA lifetime. IEEE Trans. Dep. Secure Comput. 5, 2 (April 2008), 115--127. Google ScholarGoogle ScholarDigital LibraryDigital Library
  43. Edward A. Stott, Justin S. J. Wong, Pete Sedcole, and Peter Y. K. Cheung. 2010. Degradation in FPGAs: Measurement and modelling. In Proceedings of the International Symposium on Field-Programmable Gate Arrays. 229--238. Google ScholarGoogle ScholarDigital LibraryDigital Library
  44. Tim Tuan, Austin Lesea, Chris Kingsley, and Steven Trimberger. 2011. Analysis of within-die process variation in 65nm FPGAs. In Proceedings of the International Symposium on Quality Electronic Design. 1--5. Retrieved fromGoogle ScholarGoogle ScholarCross RefCross Ref
  45. Justin S. Wong, Pete Sedcole, and Peter Y. K. Cheung. 2009. Self-measurement of combinatorial circuit delays in FPGAs. ACM Trans. Reconfig. Tech. Syst. 2, 2 (June 2009), 1--22. Google ScholarGoogle ScholarDigital LibraryDigital Library
  46. Xilinx. 2015. UltraScale Architecture and Product Overview (DS890). Retrieved from http://www.xilinx.com/support/documentation/data_sheets/ds890-ultrascale-overview.pdf.Google ScholarGoogle Scholar
  47. Xilinx, Inc. 2008. Virtex-5 FPGA Configuration User Guide. Xilinx, Inc., 2100 Logic Drive, San Jose, CA 95124. UG191 Retrieved from http://www.xilinx.com/bvdocs/userguides/ug191.pdf.Google ScholarGoogle Scholar
  48. Wei Zhao and Yu Cao. 2006. New generation of predictive technology model for sub-45 nm early design exploration. IEEE Trans. Electron. Dev. 53, 11 (2006), 2816--2823.Google ScholarGoogle ScholarCross RefCross Ref
  49. Ken M. Zick and John P. Hayes. 2010. On-line sensing for healthier FPGA systems. In Proceedings of the International Symposium on Field-Programmable Gate Arrays. 239--248. Google ScholarGoogle ScholarDigital LibraryDigital Library

Index Terms

  1. Continuous Online Self-Monitoring Introspection Circuitry for Timing Repair by Incremental Partial-Reconfiguration (COSMIC TRIP)

        Recommendations

        Comments

        Login options

        Check if you have access through your login credentials or your institution to get full access on this article.

        Sign in

        Full Access

        • Published in

          cover image ACM Transactions on Reconfigurable Technology and Systems
          ACM Transactions on Reconfigurable Technology and Systems  Volume 11, Issue 1
          Special Section on FCCM 2016 and Regular Papers
          March 2018
          183 pages
          ISSN:1936-7406
          EISSN:1936-7414
          DOI:10.1145/3178391
          • Editor:
          • Steve Wilton
          Issue’s Table of Contents

          Copyright © 2018 ACM

          Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than the author(s) must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected].

          Publisher

          Association for Computing Machinery

          New York, NY, United States

          Publication History

          • Published: 26 January 2018
          • Accepted: 1 October 2017
          • Received: 1 November 2016
          Published in trets Volume 11, Issue 1

          Permissions

          Request permissions about this article.

          Request Permissions

          Check for updates

          Qualifiers

          • research-article
          • Research
          • Refereed

        PDF Format

        View or Download as a PDF file.

        PDF

        eReader

        View online with eReader.

        eReader