skip to main content
research-article
Open access

Improving MLC PCM Performance through Relaxed Write and Read for Intermediate Resistance Levels

Published: 22 March 2018 Publication History

Abstract

Phase Change Memory (PCM) is one of the most promising candidates to be used at the main memory level of the memory hierarchy due to poor scalability, considerable leakage power, and high cost/bit of DRAM. PCM is a new resistive memory that is capable of storing data based on resistance values. The wide resistance range of PCM allows for storing multiple bits per cell (MLC) rather than a single bit per cell (SLC). Unfortunately, higher density of MLC PCM comes at the expense of longer read/write latency, higher soft error rate, higher energy consumption, and earlier wearout compared to the SLC PCM. Some studies suggest removing the most error-prone level to mitigate soft error and write latency of MLC PCM, hence introducing a less dense memory called Tri-Level memory. Another scheme, called M-Metric, proposes a new read metric to address the soft error problem in MLC PCM.
In order to deal with the limited lifetime of PCM, some extra storage per memory line is required to correct permanent hard errors (stuck-at faults). Since the extra storage is used only when permanent faults occur, it has a low utilization for a long time before hard errors start to occur. In this article, we utilize the extra storage to improve the read/write latency in a 2-bit MLC PCM using a relaxation scheme for reading and writing the cells for intermediate resistance levels. More specifically, we combine the most time-consuming levels (intermediate resistance levels) to reduce the number of resistance levels (making a Tri-Level PCM) and therefore improve write latency. We then store some error correction metadata in the extra storage section to successfully retrieve the exact data values in the read operation. We also modify the Tri-Level PCM cell to increase its read latency when the M-Metric scheme is used. Evaluation results show that the proposed scheme improves read latency by 57.2%, write latency by 56.1%, and overall system performance (IPC) by 26.9% over the baseline. It is noteworthy that combining the proposed scheme and FPC compression method improves read latency by 75.2%, write latency by 67%, and overall system performance (IPC) by 37.4%.

References

[1]
N. Agarwal and T. F. Wenisch. 2017. Thermostat: Application-transparent page management for two-tiered main memory. In Proceedings of the 22nd International Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS’17). ACM, New York, 631--644.
[2]
A. R. Alameldeen and D. A. Wood. 2004. Adaptive cache compression for high-performance processors. In Proceedings of the 31st Annual International Symposium on Computer Architecture, 2004. 212--223.
[3]
M. Arjomand, M. T. Kandemir, A. Sivasubramaniam, and C. R. Das. 2016. Boosting access parallelism to PCM-based main memory. In Proceedings of the 43rd International Symposium on Computer Architecture (ISCA’16). IEEE Press, Piscataway, NJ, 695--706.
[4]
M. Asadinia, M. Arjomand, and H. Sarbazi-Azad. 2015. Variable resistance spectrum assignment in phase change memory systems. IEEE Transactions on Very Large Scale Integration (VLSI) Systems 23, 11 (Nov. 2015), 2657--2670.
[5]
A. Athmanathan, M. Stanisavljevic, J. Cheon, S. Kang, C. Ahn, J. Yoon, M. Shin, T. Kim, N. Papandreou, H. Pozidis, and E. Eleftheriou. 2014. A 6-bit drift-resilient readout scheme for multi-level phase-change memory. In Proceedings of the 2014 IEEE Asian Solid-State Circuits Conference (A-SSCC’14). 137--140.
[6]
X. Bi, M. Mao, D. Wang, and H. Li. 2013. Unleashing the potential of MLC STT-RAM caches. In Proceedings of the 2013 IEEE/ACM International Conference on Computer-Aided Design (ICCAD’13). 429--436.
[7]
Y. Cai, S. Ghose, E. F. Haratsch, Y. Luo, and O. Mutlu. 2017. Error characterization, mitigation, and recovery in flash-memory-based solid-state drives. Proceedings of the IEEE 105, 9 (Sept. 2017), 1666--1704.
[8]
Y. Cai, S. Ghose, Y. Luo, K. Mai, O. Mutlu, and E. F. Haratsch. 2017. Vulnerabilities in MLC NAND flash memory programming: Experimental analysis, exploits, and mitigation techniques. In Proceedings of the 2017 IEEE International Symposium on High Performance Computer Architecture (HPCA’17). 49--60.
[9]
Y. Cai, E. F. Haratsch, O. Mutlu, and K. Mai. 2013. Threshold voltage distribution in MLC NAND flash memory: Characterization, analysis, and modeling. In Proceedings of the 2013 Design, Automation Test in Europe Conference Exhibition (DATE’13). 1285--1290.
[10]
Y. Cai, Y. Luo, S. Ghose, and O. Mutlu. 2015. Read disturb errors in MLC NAND flash memory: Characterization, mitigation, and recovery. In Proceedings of the 2015 45th Annual IEEE/IFIP International Conference on Dependable Systems and Networks. 438--449.
[11]
Y. Cai, Y. Luo, E. F. Haratsch, K. Mai, and O. Mutlu. 2015. Data retention in MLC NAND flash memory: Characterization, optimization, and recovery. In 2015 IEEE 21st International Symposium on High Performance Computer Architecture (HPCA’15). 551--563.
[12]
Y. Cai, O. Mutlu, E. F. Haratsch, and K. Mai. 2013. Program interference in MLC NAND flash memory: Characterization, modeling, and mitigation. In Proceedings of the 2013 IEEE 31st International Conference on Computer Design (ICCD’13). 123--130.
[13]
Y. Cai, G. Yalcin, O. Mutlu, E. F. Haratsch, A. Cristal, O. S. Unsal, and K. Mai. 2012. Flash correct-and-refresh: Retention-aware error management for increased flash memory lifetime. In Proceedings of the 2012 IEEE 30th International Conference on Computer Design (ICCD’12). 94--101.
[14]
Y. Cai, G. Yalcin, O. Mutlu, E. F. Haratsch, O. Unsal, A. Cristal, and K. Mai. 2014. Neighbor-cell assisted error correction for MLC NAND flash memories. SIGMETRICS Performance Evaluation Review 42, 1 (June 2014), 491--504.
[15]
R. A. Cernea, L. Pham, F. Moogat, S. Chan, B. Le, Y. Li, S. Tsao, T. Y. Tseng, K. Nguyen, J. Li, J. Hu, J. H. Yuh, C. Hsu, F. Zhang, T. Kamei, H. Nasu, P. Kliza, K. Htoo, J. Lutze, Y. Dong, M. Higashitani, J. Yang, H. S. Lin, V. Sakhamuri, A. Li, F. Pan, S. Yadala, S. Taigor, K. Pradhan, J. Lan, J. Chan, T. Abe, Y. Fukuda, H. Mukai, K. Kawakami, C. Liang, T. Ip, S. F. Chang, J. Lakshmipathi, S. Huynh, D. Pantelakis, M. Mofidi, and K. Quader. 2009. A 34 MB/s MLC write throughput 16 Gb NAND With all bit line architecture on 56 nm technology. IEEE Journal of Solid-State Circuits 44, 1 (Jan. 2009), 186--194.
[16]
Y. Du, M. Zhou, B. R. Childers, D. Mossé, and R. Melhem. 2013. Bit mapping for balanced PCM cell programming. In Proceedings of the 40th Annual International Symposium on Computer Architecture (ISCA’13). ACM, New York, 428--439.
[17]
J. Fan, S. Jiang, J. Shu, Y. Zhang, and W. Zhen. 2013. Aegis: Partitioning data block for efficient recovery of stuck-at-faults in phase change memory. In Proceedings of the 46th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO-46’13). ACM, New York, 433--444.
[18]
L. M. Grupp, A. M. Caulfield, J. Coburn, S. Swanson, E. Yaakobi, P. H. Siegel, and J. K. Wolf. 2009. Characterizing flash memory: Anomalies, observations, and applications. In Proceedings of the 2009 42nd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO’09). 24--33.
[19]
M. Hoseinzadeh, M. Arjomand, and H. Sarbazi-Azad. 2015. SPCM: The striped phase change memory. ACM Transactions on Architecture and Code Optimization 12, 4, Article 38 (Nov. 2015), 25 pages.
[20]
Y. N. Hwang, C. Y. Um, J. H. Lee, C. G. Wei, H. R. Oh, G. T. Jeong, H. S. Jeong, C. H. Kim, and C. H. Chung. 2010. MLC PRAM with SLC write-speed and robust read scheme. In Proceedings of the 2010 Symposium on VLSI Technology. 201--202.
[21]
Y. N. Hwang, C. Y. Um, J. H. Lee, C. G. Wei, H. R. Oh, G. T. Jeong, H. S. Jeong, C. H. Kim, and C. H. Chung. 2010. MLC PRAM with SLC write-speed and robust read scheme. In Proceedings of the 2010 Symposium on VLSI Technology. 201--202.
[22]
D. Ielmini, A. L. Lacaita, and D. Mantegazza. 2007. Recovery and drift dynamics of resistance and threshold voltages in phase-change memories. IEEE Transactions on Electron Devices 54, 2 (Feb. 2007), 308--315.
[23]
E. Ipek, J. Condit, E. B. Nightingale, D. Burger, and T. Moscibroda. 2010. Dynamically replicated memory: Building reliable systems from nanoscale resistive memories. In Proceedings of the 15th Edition of ASPLOS on Architectural Support for Programming Languages and Operating Systems (ASPLOS XV’10). ACM, New York, 3--14.
[24]
M. Jalili, M. Arjomand, and H. S. Azad. 2014. A reliable 3D MLC PCM architecture with resistance drift predictor. In Proceedings of the 2014 44th Annual IEEE/IFIP International Conference on Dependable Systems and Networks. 204--215.
[25]
L. Jiang, B. Zhao, J. Yang, and Y. Zhang. 2014. A low power and reliable charge pump design for phase change memories. In Proceedings of the 2014 ACM/IEEE 41st International Symposium on Computer Architecture (ISCA’14). 397--408.
[26]
L. Jiang, B. Zhao, Y. Zhang, J. Yang, and B. R. Childers. 2012. Improving write operations in MLC phase change memory. In Proceedings of the IEEE International Symposium on High-Performance Comp Architecture. 1--10.
[27]
D. H. Kang, J. H. Lee, J. H. Kong, D. Ha, J. Yu, C. Y. Um, J. H. Park, F. Yeung, J. H. Kim, W. I. Park, Y. J. Jeon, M. K. Lee, Y. J. Song, J. H. Oh, G. T. Jeong, and H. S. Jeong. 2008. Two-bit cell operation in diode-switch phase change memory cells with 90nm technology. In Proceedings of the 2008 Symposium on VLSI Technology. 98--99.
[28]
Y. Kim, S. Yoo, and S. Lee. 2016. Improving write performance by controlling target resistance distributions in MLC PRAM. ACM Transactions on Design Automation of Electronic Systems 21, 2, Article 23 (Jan. 2016), 27 pages.
[29]
E. Kltrsay, M. Kandemir, A. Sivasubramaniam, and O. Mutlu. 2013. Evaluating STT-RAM as an energy-efficient main memory alternative. In Proceedings of the 2013 IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS’13). 256--267.
[30]
B. C. Lee, E. Ipek, O. Mutlu, and D. Burger. 2009. Architecting phase change memory as a scalable dram alternative. In Proceedings of the 36th Annual International Symposium on Computer Architecture (ISCA’09). ACM, New York, 2--13.
[31]
C. Lefurgy, K. Rajamani, F. Rawson, W. Felter, M. Kistler, and T. W. Keller. 2003. Energy management for commercial servers. Computer 36, 12 (Dec. 2003), 39--48.
[32]
Y. Li, S. Ghose, J. Choi, J. Sun, H. Wang, and O. Mutlu. 2017. Utility-based hybrid memory management. In Proceedings of the 2017 IEEE International Conference on Cluster Computing (CLUSTER’17). 152--165.
[33]
P. Lotfi-Kamran, B. Grot, M. Ferdman, S. Volos, O. Kocberber, J. Picorel, A. Adileh, D. Jevdjic, S. Idgunji, E. Ozer, and B. Falsafi. 2012. Scale-out processors. In Proceedings of the 39th Annual International Symposium on Computer Architecture (ISCA’12). IEEE Computer Society, Washington, DC, 500--511. http://dl.acm.org/citation.cfm?id=2337159.2337217
[34]
Y. Luo, S. Ghose, Y. Cai, E. F. Haratsch, and O. Mutlu. 2016. Enabling accurate and practical online Flash channel modeling for modern MLC NAND flash memory. IEEE Journal on Selected Areas in Communications 34, 9 (Sept. 2016), 2294--2311.
[35]
P. J. Nair, C. Chou, B. Rajendran, and M. K. Qureshi. 2015. Reducing read latency of phase change memory via early read and turbo read. In Proceedings of the 2015 IEEE 21st International Symposium on High Performance Computer Architecture (HPCA’15). 309--319.
[36]
T. Nirschl, J. B. Philipp, T. D. Happ, G. W. Burr, B. Rajendran, M. H. Lee, A. Schrott, M. Yang, M. Breitwisch, C. F. Chen, E. Joseph, M. Lamorey, R. Cheek, S. H. Chen, S. Zaidi, S. Raoux, Y. C. Chen, Y. Zhu, R. Bergmann, H. L. Lung, and C. Lam. 2007. Write strategies for 2 and 4-bit multi-level phase-change memory. In Proceedings of the 2007 IEEE International Electron Devices Meeting. 461--464.
[37]
N. Papandreou, A. Sebastian, A. Pantazi, M. Breitwisch, C. Lam, H. Pozidis, and E. Eleftheriou. 2011. Drift-resilient cell-state metric for multilevel phase-change memory. In Proceedings of the 2011 IEEE International Electron Devices Meeting (IEDM’11). 3.5.1--3.5.4.
[38]
K. T. Park, M. Kang, D. Kim, S. W. Hwang, B. Y. Choi, Y. T. Lee, C. Kim, and K. Kim. 2008. A zeroing cell-to-cell interference page architecture with temporary LSB storing and parallel MSB program scheme for MLC NAND Flash memories. IEEE Journal of Solid-State Circuits 43, 4 (April 2008), 919--928.
[39]
M. K. Qureshi. 2011. Pay-as-you-go: Low-overhead hard-error correction for phase change memories. In Proceedings of the 2011 44th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO’11). 318--328.
[40]
M. K. Qureshi, M. M. Franceschini, L. A. Lastras-Montaño, and J. P. Karidis. 2010. Morphable memory system: A robust architecture for exploiting multi-level phase change memories. In Proceedings of the 37th Annual International Symposium on Computer Architecture (ISCA’10). ACM, New York, 153--162.
[41]
M. K. Qureshi, M. M. Franceschini, and L. A. Lastras-Montao. 2010. Improving read performance of phase change memories via write cancellation and write pausing. In Proceedings of the 16th International Symposium on High-Performance Computer Architecture (HPCA’10). 1--11.
[42]
M. K. Qureshi, J. Karidis, M. Franceschini, V. Srinivasan, L. Lastras, and B. Abali. 2009. Enhancing lifetime and security of PCM-based main memory with start-gap wear leveling. In Proceedings of the 42nd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO 42’09). ACM, New York, 14--23.
[43]
M. K. Qureshi, V. Srinivasan, and J. A. Rivers. 2009. Scalable high performance main memory system using phase-change memory technology. In Proceedings of the 36th Annual International Symposium on Computer Architecture (ISCA’09). ACM, New York, 24--33.
[44]
S. Raoux, G. W. Burr, M. J. Breitwisch, C. T. Rettner, Y. C. Chen, R. M. Shelby, M. Salinga, D. Krebs, S. H. Chen, H. L. Lung, and C. H. Lam. 2008. Phase-change random access memory: A scalable technology. IBM Journal of Research and Development 52, 4.5 (July 2008), 465--479.
[45]
M. Hossein Samavatian, M. Arjomand, R. Bashizade, and H. Sarbazi-Azad. 2015. Architecting the last-level cache for GPUs using STT-RAM technology. ACM Transactions on Design Automation of Electronic Systems 20, 4, Article 55 (Sept. 2015), 24 pages.
[46]
A. Sampson, J. Nelson, K. Strauss, and L. Ceze. 2013. Approximate storage in solid-state memories. In Proceedings of the 46th Annual IEEE/ACM International Symposium on Microarchitecture (MICRO-46’13). ACM, New York, 25--36.
[47]
S. Schechter, G. H. Loh, K. Strauss, and D. Burger. 2010. Use ECP, Not ECC, for hard failures in resistive memories. In Proceedings of the 37th Annual International Symposium on Computer Architecture (ISCA’10). ACM, New York, 141--152.
[48]
A. Sebastian, N. Papandreou, A. Pantazi, H. Pozidis, and E. Eleftheriou. 2011. Non-resistance-based cell-state metric for phase-change memory. Journal of Applied Physics 110, 8 (2011), 084505.
[49]
N. H. Seong, D. H. Woo, and H.-H. S. Lee. 2010. Security refresh: Prevent malicious wear-out and increase durability for phase-change memory with dynamically randomized address mapping. In Proceedings of the 37th Annual International Symposium on Computer Architecture (ISCA’10). ACM, New York, 383--394.
[50]
N. H. Seong, D. H. Woo, V. Srinivasan, J. A. Rivers, and H.-H. S. Lee. 2010. SAFER: Stuck-at-fault error recovery for memories. In Proceedings of the 2010 43rd Annual IEEE/ACM International Symposium on Microarchitecture (MICRO-43’10). IEEE Computer Society, Washington, DC, 115--124.
[51]
N. H. Seong, S. Yeo, and H.-H. S. Lee. 2013. Tri-level-cell phase change memory: Toward an efficient and reliable memory system. In Proceedings of the 40th Annual International Symposium on Computer Architecture (ISCA’13). ACM, New York, 440--451.
[52]
H. Shim, S. S. Lee, B. Kim, N. Lee, D. Kim, H. Kim, B. Ahn, Y. Hwang, H. Lee, J. Kim, Y. Lee, H. Lee, J. Lee, S. Chang, J. Yang, S. Park, S. Aritome, S. Lee, K. O. Ahn, G. Bae, and Y. Yang. 2011. Highly reliable 26nm 64Gb MLC E2NAND (Embedded-ECC amp; Enhanced-efficiency) flash memory with MSP (Memory signal processing) controller. In Proceedings of the 2011 Symposium on VLSI Technology - Digest of Technical Papers. 216--217.
[53]
C. W. Smullen, V. Mohan, A. Nigam, S. Gurumurthi, and M. R. Stan. 2011. Relaxing non-volatility for fast and energy-efficient STT-RAM caches. In Proceedings of the 2011 IEEE 17th International Symposium on High Performance Computer Architecture. 50--61.
[54]
J. Wang, X. Dong, G. Sun, D. Niu, and Y. Xie. 2011. Energy-efficient multi-level cell phase-change memory system with data encoding. In Proceedings of the 2011 IEEE 29th International Conference on Computer Design (ICCD’11). IEEE Computer Society, Washington, DC, 175--182.
[55]
R. Wang, Y. Zhang, and J. Yang. 2016. ReadDuo: Constructing reliable MLC phase change memory through fast and robust readout. In Proceedings of the 2016 46th Annual IEEE/IFIP International Conference on Dependable Systems and Networks (DSN’16). 203--214.
[56]
Z. Wang, S. Shan, T. Cao, J. Gu, Y. Xu, S. Mu, Y. Xie, and D. A. Jiménez. 2013. WADE: Writeback-aware dynamic cache management for NVM-based main memory system. ACM Transactions on Architecture and Code Optimization 10, 4, Article 51 (Dec. 2013), 21 pages.
[57]
W. Xu and T. Zhang. 2010. Using time-aware memory sensing to address resistance drift issue in multi-level phase change memory. In Proceedings of the 2010 11th International Symposium on Quality Electronic Design (ISQED’10). 356--361.
[58]
B. D. Yang, J. E. Lee, J. S. Kim, J. Cho, S. Y. Lee, and B. G. Yu. 2007. A low power phase-change random access memory using a data-comparison write scheme. In Proceedings of the 2007 IEEE International Symposium on Circuits and Systems. 3014--3017.
[59]
H. Yoon, J. Meza, N. Muralimanohar, N. P. Jouppi, and O. Mutlu. 2014. Efficient data mapping and buffering techniques for multilevel cell phase-change memories. ACM Transactions on Architecture and Code Optimization 11, 4, Article 40 (Dec. 2014), 25 pages.
[60]
L. Zhang, B. Neely, D. Franklin, D. Strukov, Y. Xie, and F. T. Chong. 2016. Mellow writes: Extending lifetime in resistive memories through selective slow write backs. In Proceedings of the 2016 ACM/IEEE 43rd Annual International Symposium on Computer Architecture (ISCA’16). 519--531.
[61]
Y. Zhang, L. Zhang, W. Wen, G. Sun, and Y. Chen. 2012. Multi-level cell STT-RAM: Is it realistic or just a dream? In 2012 IEEE/ACM International Conference on Computer-Aided Design (ICCAD’12). 526--532.
[62]
M. Zhou, Y. Du, B. Childers, R. Melhem, and D. Mossé. 2012. Writeback-aware partitioning and replacement for last-level caches in phase change main memory systems. ACM Transactions on Architecture and Code Optimization 8, 4, Article 53 (Jan. 2012), 21 pages.
[63]
P. Zhou, B. Zhao, J. Yang, and Y. Zhang. 2009. A durable and energy efficient main memory using phase change memory technology. In Proceedings of the 36th Annual International Symposium on Computer Architecture (ISCA’09). ACM, New York, 14--23.

Cited By

View all
  • (2024)Harmonica: Hybrid Accelerator to Overcome Imperfections of Mixed-signal DNN Accelerators2024 IEEE International Parallel and Distributed Processing Symposium (IPDPS)10.1109/IPDPS57955.2024.00061(619-630)Online publication date: 27-May-2024
  • (2024)FETs for Analog Neural MACsIEEE Access10.1109/ACCESS.2024.338709412(54019-54048)Online publication date: 2024
  • (2023)eLDPC: An Efficient LDPC Coding Scheme for Phase-Change MemoryIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2022.321006842:6(1978-1987)Online publication date: 1-Jun-2023
  • Show More Cited By

Recommendations

Comments

Information & Contributors

Information

Published In

cover image ACM Transactions on Architecture and Code Optimization
ACM Transactions on Architecture and Code Optimization  Volume 15, Issue 1
March 2018
401 pages
ISSN:1544-3566
EISSN:1544-3973
DOI:10.1145/3199680
Issue’s Table of Contents
Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

Publisher

Association for Computing Machinery

New York, NY, United States

Publication History

Published: 22 March 2018
Accepted: 01 December 2017
Revised: 01 November 2017
Received: 01 May 2017
Published in TACO Volume 15, Issue 1

Permissions

Request permissions for this article.

Check for updates

Author Tags

  1. M-metric
  2. Tri-Level PCM
  3. energy consumption
  4. read latency
  5. write speed

Qualifiers

  • Research-article
  • Research
  • Refereed

Contributors

Other Metrics

Bibliometrics & Citations

Bibliometrics

Article Metrics

  • Downloads (Last 12 months)136
  • Downloads (Last 6 weeks)11
Reflects downloads up to 06 Jan 2025

Other Metrics

Citations

Cited By

View all
  • (2024)Harmonica: Hybrid Accelerator to Overcome Imperfections of Mixed-signal DNN Accelerators2024 IEEE International Parallel and Distributed Processing Symposium (IPDPS)10.1109/IPDPS57955.2024.00061(619-630)Online publication date: 27-May-2024
  • (2024)FETs for Analog Neural MACsIEEE Access10.1109/ACCESS.2024.338709412(54019-54048)Online publication date: 2024
  • (2023)eLDPC: An Efficient LDPC Coding Scheme for Phase-Change MemoryIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2022.321006842:6(1978-1987)Online publication date: 1-Jun-2023
  • (2021)NVM Storage in IoT Devices: Opportunities and ChallengesComputer Systems Science and Engineering10.32604/csse.2021.01722438:3(393-409)Online publication date: 2021
  • (2021)Exploiting Resistance Drift Characteristics to Improve Reliability of LDPC-Assisted Phase-Change MemoryIEEE Transactions on Device and Materials Reliability10.1109/TDMR.2021.308780721:3(324-330)Online publication date: Sep-2021
  • (2020)Error-Vulnerable Pattern-Aware Binary-to-Ternary Data Mapping for Improving Storage Density of 3LC Phase Change MemoryElectronics10.3390/electronics90406269:4(626)Online publication date: 9-Apr-2020
  • (2020)Risk-5: Controlled approximations for RISC-VIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2020.3012312(1-1)Online publication date: 2020
  • (2020)Pattern analysis based data management method and memory-disk integrated system for high performance computingFuture Generation Computer Systems10.1016/j.future.2020.01.013Online publication date: Jan-2020
  • (2019)A Survey on PCM Lifetime Enhancement SchemesACM Computing Surveys10.1145/333225752:4(1-38)Online publication date: 30-Aug-2019
  • (2019)Reducing Writebacks Through In-Cache DisplacementACM Transactions on Design Automation of Electronic Systems10.1145/328918724:2(1-21)Online publication date: 10-Jan-2019
  • Show More Cited By

View Options

View options

PDF

View or Download as a PDF file.

PDF

eReader

View online with eReader.

eReader

Login options

Full Access

Media

Figures

Other

Tables

Share

Share

Share this Publication link

Share on social media