skip to main content
10.1145/2744769.2747910acmconferencesArticle/Chapter ViewAbstractPublication PagesdacConference Proceedingsconference-collections
research-article

Ambient energy harvesting nonvolatile processors: from circuit to system

Published:07 June 2015Publication History

ABSTRACT

Energy harvesting is gaining more and more attentions due to its characteristics of ultra-long operation time without maintenance. However, frequent unpredictable power failures from energy harvesters bring performance and reliability challenges to traditional processors. Nonvolatile processors are promising to solve such a problem due to their advantage of zero leakage and efficient backup and restore operations. To optimize the nonvolatile processor design, this paper proposes new metrics of nonvolatile processors to consider energy harvesting factors for the first time. Furthermore, we explore the nonvolatile processor design from circuit to system level. A prototype of energy harvesting nonvolatile processor is set up and experimental results show that the proposed performance metric meets the measured results by less than 6.27% average errors. Finally, the energy consumption of nonvolatile processor is analyzed under different benchmarks.

References

  1. S. Sudevalayam and P. Kulkarni. Energy harvesting sensor nodes: survey and implications. CST, 13(3):443--461, 2011.Google ScholarGoogle Scholar
  2. K. Ma, Y. Zheng, et al. Architecture exploration for ambient energy harvesting nonvolatile processors. In HPCA, pages 526--537, 2015.Google ScholarGoogle ScholarCross RefCross Ref
  3. Y. Wang, Y. Liu, et al. In A 3us wake-up time nonvolatile processor based on ferroelectric flip-flops, pages 149--152, 2012.Google ScholarGoogle Scholar
  4. M. Qazi, A. Amerasekera, and A. P. Chandrakasan. In A 3.4pJ FeRAM-enabled D flip-flop in 0.13 um CMOS for nonvolatile processing in digital systems, pages 192--193, 2013.Google ScholarGoogle Scholar
  5. N. Sakimura, Y. Tsuji, et al. A 90nm 20mhz fully nonvolatile microcontroller for standby-power-critical applications. In ISSCC, pages 184--185, 2014.Google ScholarGoogle Scholar
  6. B. C. Bartling, S. Khanna, et al. An 8mhz 75μa/mhz zero-leakage non-volatile logic-based cortex-m0 mcu soc exhibiting 100% digital state retention at vdd=0v with <400ns wakeup and sleep transitions. In ISSCC, pages 432--433, 2013.Google ScholarGoogle Scholar
  7. P. Chiu, M. Chang, et al. Low store energy, low vddmin, 8t2r nonvolatile latch and sram with vertical-stacked resistive memory (memristor) devices for low power mobile applications. JSSC, 47(6):1483--1496, 2012.Google ScholarGoogle Scholar
  8. T. Aoki, Y. Okamoto, et al. 30.9 normally-off computing with crystalline ingazno-based fpga. In ISSCC, pages 502--503, 2014.Google ScholarGoogle ScholarCross RefCross Ref
  9. T. Miwa, J. Yamada, et al. Nv-sram: a nonvolatile sram with backup ferroelectric capacitors. JSSC, 36(3):522--527, 2001.Google ScholarGoogle Scholar
  10. S. Masui, W. Yokozeki, et al. Design and applications of ferroelectric nonvolatile sram and flip-flop with unlimited read/program cycles and stable recall. In CICC, pages 403--406, 2003.Google ScholarGoogle ScholarCross RefCross Ref
  11. T. Ohsawa, H. Koike, et al. 1mb 4t-2mtj nonvolatile stt-ram for embedded memories using 32b fine-grained power gating technique with 1.0 ns/200ps wake-up/power-off times. In VLSIC, pages 46--47, 2012.Google ScholarGoogle Scholar
  12. S. Sheu, C. Kuo, et al. A reram integrated 7t2r non-volatile sram for normally-off computing application. In ASSCC, pages 245--248, 2013.Google ScholarGoogle ScholarCross RefCross Ref
  13. A. Lee et al. Rram-based 7t1r nonvolatile sram with 2x reduction in store energy and 94x reduction in restore energy for frequent-off instant-on applications. In VLSIC, 2015.Google ScholarGoogle Scholar
  14. W. Wang, A. Gibby, et al. Nonvolatile sram cell. IEDM, 2006.Google ScholarGoogle ScholarCross RefCross Ref
  15. S. Yamamoto, Y. Shuto, and S. Sugahara. Nonvolatile sram (nv-sram) using functional mosfet merged with resistive switching devices. In CICC, pages 531--534, 2009.Google ScholarGoogle ScholarCross RefCross Ref
  16. Y. Wang, Y. Liu, et al. Pacc: a parallel compare and compress codec for area reduction in nonvolatile processors. TVLSI, 22(7):1491--1505, 2014.Google ScholarGoogle Scholar
  17. X. Sheng, Y. Wang, et al. Spac: a segment-based parallel compression for backup acceleration in nonvolatile processors. In DATE, pages 865--868, 2013. Google ScholarGoogle ScholarDigital LibraryDigital Library
  18. ROHM. Batasheet of BD5xxx free delay time setting CMOS voltage detector IC series.Google ScholarGoogle Scholar
  19. S. Roundy, D. Steingart, et al. Power sources for wireless sensor networks. Springer: Wireless Sensor Networks, 2014.Google ScholarGoogle Scholar
  20. S. Kim, R. Vyas, et al. Ambient rf energy-harvesting technologies for self-sustainable standalone wireless sensor platforms. Proceedings of the IEEE, 102(11):1649--1666, 2014.Google ScholarGoogle ScholarCross RefCross Ref
  21. X. Li, H. Liu, et al. Rf-powered systems using steep-slope devices. In NEWCAS, pages 73--76, 2014.Google ScholarGoogle ScholarCross RefCross Ref
  22. H. Liu, X. Li, et al. Tunnel fet rf rectifier design for energy harvesting applications. JESTCS, 4(4):400--411, 2014.Google ScholarGoogle Scholar
  23. X. Sheng, C. Wang, et al. A high-efficiency dual-channel photovoltaic power system for nonvolatile sensor nodes. In NVMSA, pages 1--2, 2014.Google ScholarGoogle ScholarCross RefCross Ref
  24. J. Christmann, E. Beigne, et al. An innovative and efficient energy harvesting platform architecture for autonomous microsystems. In NEWCAS, pages 173--176, 2010.Google ScholarGoogle ScholarCross RefCross Ref
  25. D. Porcarelli, D. Brunelli, et al. A multi-harvester architecture with hybrid storage devices and smart capabilities for low power systems. In SPEEDAM, pages 946--951, 2012.Google ScholarGoogle ScholarCross RefCross Ref
  26. V. Boicea. Energy storage technologies: The past and the present. Proceedings of the IEEE, 102(11):1777--1794, 2014.Google ScholarGoogle ScholarCross RefCross Ref
  27. S. Bandyopadhyay and A. P. Chandrakasan. Platform architecture for solar, thermal, and vibration energy combining with mppt and single inductor. JSSC, 47(9):2199--2215, 2012.Google ScholarGoogle Scholar
  28. W. Cong, N. Chang, et al. Storage-less and converter-less maximum power point tracking of photovoltaic cells for a nonvolatile microprocessor. In ASPDAC, pages 379--384, 2014.Google ScholarGoogle Scholar
  29. A. K. Abdelsalam, A. M. Massoud, et al. Platform architecture for solar, thermal, and vibration energy combining with mppt and single inductor. TPE, 26(4):1010--1021, 2011.Google ScholarGoogle Scholar
  30. M. A. G. de Brito, L. Galotto, et al. Evaluation of the main mppt techniques for photovoltaic applications. TIE, 60(3):1156--1167, 2013.Google ScholarGoogle Scholar
  31. Y. Wang, H. Jia, et al. Register allocation for hybrid register architecture in nonvolatile processors. In ISCAS, pages 1050--1053, 2014.Google ScholarGoogle ScholarCross RefCross Ref
  32. M. Zhao, Q. Li, et al. Software assisted nonvolatile register reduction for energy harvesting based cyber-physical system. In DATE, 2015. Google ScholarGoogle ScholarDigital LibraryDigital Library
  33. Q. Li, M. Zhao, et al. Compiler directed automatic stack trimming for efficient nonvolatile processors. In DAC, 2015. Google ScholarGoogle ScholarDigital LibraryDigital Library
  34. M. Xie, M. Zhao, et al. Fixing the broken time machine: consistency-aware checkpointing for energy harvesting powered nonvolatile processor. In DAC, 2015. Google ScholarGoogle ScholarDigital LibraryDigital Library
  35. C. Moser, J. Chen, et al. Reward maximization for embedded systems with renewable energies. In RTCSA, pages 247--256, 2008. Google ScholarGoogle ScholarDigital LibraryDigital Library
  36. X. Lin, Y. Wang, et al. A framework of concurrent task scheduling and dynamic voltage and frequency scaling in real-time embedded systems with energy harvesting. In ISLPED, pages 70--75, 2013. Google ScholarGoogle ScholarDigital LibraryDigital Library
  37. D. Zhang, S. Li, et al. Intra-task scheduling for storage-less and converter-less solar-powered nonvolatile sensor nodes. In ICCD, pages 348--354, 2014.Google ScholarGoogle ScholarCross RefCross Ref
  38. D. Zhang, Y. Liu, et al. Deadline-aware task scheduling for solar-powered nonvolatile sensor nodes with global energy migration. In DAC, 2015. Google ScholarGoogle ScholarDigital LibraryDigital Library
  39. M. R. Guthaus, J. S. Ringenberg, et al. Mibench: a free, commercially representative embedded benchmark suite. In DAC, pages 3--14, 2001. Google ScholarGoogle ScholarDigital LibraryDigital Library
  40. H. Li, Y. Liu, et al. An energy efficient backup scheme with low inrush current for nonvolatile sram in energy harvesting sensor nodes. In DATE, 2015. Google ScholarGoogle ScholarDigital LibraryDigital Library

Index Terms

  1. Ambient energy harvesting nonvolatile processors: from circuit to system

          Recommendations

          Comments

          Login options

          Check if you have access through your login credentials or your institution to get full access on this article.

          Sign in
          • Published in

            cover image ACM Conferences
            DAC '15: Proceedings of the 52nd Annual Design Automation Conference
            June 2015
            1204 pages
            ISBN:9781450335201
            DOI:10.1145/2744769

            Copyright © 2015 ACM

            Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

            Publisher

            Association for Computing Machinery

            New York, NY, United States

            Publication History

            • Published: 7 June 2015

            Permissions

            Request permissions about this article.

            Request Permissions

            Check for updates

            Qualifiers

            • research-article

            Acceptance Rates

            Overall Acceptance Rate1,770of5,499submissions,32%

            Upcoming Conference

            DAC '24
            61st ACM/IEEE Design Automation Conference
            June 23 - 27, 2024
            San Francisco , CA , USA

          PDF Format

          View or Download as a PDF file.

          PDF

          eReader

          View online with eReader.

          eReader