skip to main content
research-article
Free Access

Managing Mismatches in Voltage Stacking with CoreUnfolding

Published:16 November 2015Publication History
Skip Abstract Section

Abstract

Five percent to 25% of power could be wasted before it is delivered to the computational resources on a die, due to inefficiencies of voltage regulators and resistive loss. The power delivery could benefit if, at the same power, the delivered voltage increases and the current decreases. This article presents CoreUnfolding, a technique that leverages voltage Stacking to improve power delivery efficiency. Our experiments show that about 10% system-wide power can be saved, the voltage regulator area can be reduced by 30%, di/dt improves 49%, and the power pin count is reduced by 40% (≈ 20% reduction in packaging costs), with negligible performance degradation.

References

  1. C. Bienia, S. Kumar, J. P. Singh, and K. Li. 2008. The PARSEC benchmark suite: Characterization and architectural implications. In Proceedings of the 17th International Conference on Parallel Architectures and Compilation Techniques (PACT’08). ACM, New York, NY, 72--81. DOI:http://dx.doi.org/10.1145/1454115.1454128 Google ScholarGoogle ScholarDigital LibraryDigital Library
  2. E. A. Burton, G. Schrom, F. Paillet, J. Douglas, W. J. Lambert, K. Radhakrishnan, and M. J. Hill. 2014. FIVR -- Fully integrated voltage regulators on 4th generation Intel®Core™SoCs. In Proceedings of the 2014 27th Annual IEEE Applied Power Electronics Conference and Exposition (APEC’14). 432--439. DOI:http://dx.doi.org/10.1109/APEC.2014.6803344Google ScholarGoogle Scholar
  3. E. Candan, P. S. Shenoy, and R. C. N. Pilawa-Podgurski. 2014. A series-stacked power delivery architecture with isolated differential power conversion for data centers. In Proceedings of the 2014 IEEE 36th International Telecommunications Energy Conference (INTELEC’14). 1--8. DOI:http://dx.doi.org/10.1109/INTLEC.2014.6972231Google ScholarGoogle ScholarCross RefCross Ref
  4. L. Chang, R. K. Montoye, B. L. Ji, A. J. Weger, K. G. Stawiasz, and R. H. Dennard. 2010. A fully-integrated switched-capacitor 2 1 voltage converter with regulation capability and 90% efficiency at 2.3 A/mm 2. In Proceedings of the 2010 IEEE Symposium on VLSI Circuits (VLSIC’10). IEEE, 55--56.Google ScholarGoogle Scholar
  5. N. K. Choudhary, S. V. Wadhavkar, T. A. Shah, H. Mayukh, J. Gandhi, B. H. Dwiel, S. Navada, H. H. Najaf-abadi, and E. Rotenberg. 2011. FabScalar: Composing synthesizable RTL designs of arbitrary cores within a canonical superscalar template. In Proceedings of the 38th Annual International Symposium on Computer Architecture (ISCA’11). ACM, New York, NY, 11--22. Google ScholarGoogle ScholarDigital LibraryDigital Library
  6. X. Dong, J. Zhao, and Y. Xie. 2010. Fabrication cost analysis and cost-aware design space exploration for 3-D ICs. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems 29, 12 (2010), 1959--1972. Google ScholarGoogle ScholarDigital LibraryDigital Library
  7. J. Gu and C. H. Kim. 2005. Multi-story power delivery for supply noise reduction and low voltage operation. In Proceedings of the 2005 International Symposium on Low Power Electronics and Design. ACM, 192--197. Google ScholarGoogle ScholarDigital LibraryDigital Library
  8. J. L. Henning. 2006. SPEC CPU2006 benchmark descriptions. SIGARCH Computer Architecture News 34, 4 (Sept. 2006), 1--17. Google ScholarGoogle ScholarDigital LibraryDigital Library
  9. W. Huang, G. Schuellein, and D. Clavette. 2003. A scalable multiphase buck converter with average current share bus. In 18th Annual IEEE Applied Power Electronics Conference and Exposition, 2003 (APEC’03), Vol. 1. IEEE, 438--443.Google ScholarGoogle Scholar
  10. Linear Technology Inc. 2012. Dual 4A Per Channel DC/DC uModule Regulator. Retrieved from http://www.linear.com/product/LTM4614.Google ScholarGoogle Scholar
  11. Linear Technology Inc. 2014. Dual 8A Per Channel DC/DC uModule Regulator. Retrieved from http://www.linear.com/product/LTM4616.Google ScholarGoogle Scholar
  12. Linear Technology Inc. 2013. Ultralow Vin, 15A DC/DC uModule Regulator. http://www.linear.com/product/LTM4611.Google ScholarGoogle Scholar
  13. Intel Inc. 2014. Desktop 4th Generation Intel®Core™Processor Family, Desktop Intel Pentium®Processor Family, and Desktop Intel®Celeron®Processor Family - Datasheet. http://www.intel.com/content/www/us/en/processors/core/4th-gen-core-family-desktop-vol-1-datasheet.html.Google ScholarGoogle Scholar
  14. F. Ishihara, F. Sheikh, and B. Nikolic. 2004. Level conversion for dual-supply systems. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 12, 2 (2004), 185--195. Google ScholarGoogle ScholarDigital LibraryDigital Library
  15. J. L. Henning. 2000. SPEC CPU2000: Measuring performance in the new millennium. IEEE Computer 33, 7 (July 2000), 28--35. Google ScholarGoogle ScholarDigital LibraryDigital Library
  16. E. K. Ardestani and J. Renau. 2013. ESESC: A fast multicore simulator using time-based sampling. In Proceedings of the International Symposium on High Performance Computer Architecture (HPCA’13). Google ScholarGoogle ScholarDigital LibraryDigital Library
  17. K. Kesarwani, C. Schaef, C. R. Sullivan, and J. T. Stauth. 2013. A multi-level ladder converter supporting vertically-stacked digital voltage domains. In Proceedings of the 2013 28th Annual IEEE Applied Power Electronics Conference and Exposition (APEC’13). 429--434. DOI:http://dx.doi.org/10.1109/APEC.2013.6520245Google ScholarGoogle Scholar
  18. W. Kim, D. M. Brooks, and G. Y. Wei. 2011. A fully-integrated 3-level DC/DC converter for nanosecond-scale DVS with fast shunt regulation. In Proceedings of the 2011 IEEE International Solid-State Circuits Conference Digest of Technical Papers (ISSCC’11). IEEE, 268--270.Google ScholarGoogle Scholar
  19. W. Kim, D. Brooks, and G.-Y. Wei. 2012. A fully-integrated 3-level DC-DC converter for nanosecond-scale DVFS. IEEE Journal of Solid-State Circuits 47, 1 (2012), 206--219.Google ScholarGoogle ScholarCross RefCross Ref
  20. W. Kim, M. S. Gupta, G.-Y. Wei, and D. Brooks. 2008. System level analysis of fast, per-core DVFS using on-chip switching regulators. In Proceedings of the IEEE 14th International Symposium on High Performance Computer Architecture, 2008 (HPCA’08). IEEE, 123--134.Google ScholarGoogle Scholar
  21. S. K. Lee, D. Brooks, and G. Y. Wei. 2012. Evaluation of voltage stacking for near-threshold multicore computing. In Proceedings of the 2012 IEEE International Symposium on Low Power Electronics and Design (ISLPED’12). ACM, 373--378. Google ScholarGoogle ScholarDigital LibraryDigital Library
  22. S. K. Lee, T. Tong, X. Zhang, D. Brooks, and G.-Y. Wei. 2015. A 16-Core voltage-stacked system with an integrated switched-capacitor DC-DC converter. In Proceedings of the IEEE Symposium on VLSI Circuits (VLSIC’15).Google ScholarGoogle ScholarCross RefCross Ref
  23. J. Leng, Y. Zu, M. Rhu, M. Gupta, and V. J. Reddi. 2014. GPUVolt: Modeling and characterizing voltage noise in GPU architectures. In Proceedings of the 2014 International Symposium on Low Power Electronics and Design (ISLPED'14). ACM, New York, NY, USA, 141--146. DOI:http://dx.doi.org/10.1145/2627369.2627605 Google ScholarGoogle ScholarDigital LibraryDigital Library
  24. S. R. Nassif. 2008. Power grid analysis benchmarks. In Proceedings of the Asia and South Pacific Design Automation Conference, 2008 (ASPDAC’08). 376--381. DOI:http://dx.doi.org/10.1109/ASPDAC.2008.4483978 Google ScholarGoogle ScholarDigital LibraryDigital Library
  25. G. V. Piqué and H. J. Bergveld. 2012. State-of-the-art of integrated switching power converters. In Analog Circuit Design. Springer, 259--281.Google ScholarGoogle Scholar
  26. M. Popovich, A. V. Mezhiba, and E. G. Friedman. 2007. Power Distribution Networks with On-Chip Decoupling Capacitors. Springer. Google ScholarGoogle ScholarDigital LibraryDigital Library
  27. S. Rajapandian, K. L. Shepard, P. Hazucha, and T. Karnik. 2006. High-voltage power delivery through charge recycling. IEEE Journal of Solid-State Circuits 41, 6 (2006), 1400--1410.Google ScholarGoogle ScholarCross RefCross Ref
  28. S. Rajapandian, Z. Xu, and K. L. Shepard. 2005. Implicit DC-DC downconversion through charge-recycling. IEEE Journal of Solid-State Circuits 40, 4 (2005), 846--852.Google ScholarGoogle ScholarCross RefCross Ref
  29. Y. K. Ramadass, A. A. Fayed, and A. P. Chandrakasan. 2010. A fully-integrated switched-capacitor step-down DC-DC converter with digital capacitance modulation in 45 nm CMOS. IEEE Journal of Solid-State Circuits 45, 12 (2010), 2557--2565.Google ScholarGoogle ScholarCross RefCross Ref
  30. C. Schaef and J. T. Stauth. 2016. Efficient voltage regulation for microprocessor cores stacked in vertical voltage domains. IEEE Transactions on Power Electronics 31, 2, 1795--1808. DOI:http://dx.doi.org/10.1109/TPEL.2015.2426572Google ScholarGoogle ScholarCross RefCross Ref
  31. P. S. Shenoy, V. T. Buyukdegirmenci, A. M. Bazzi, and P. T. Krein. 2010. System level trade-offs of microprocessor supply voltage reduction. In Proceedings of the 2010 International Conference on Energy Aware Computing (ICEAC’10). IEEE, 1--4.Google ScholarGoogle Scholar
  32. P. S. Shenoy, S. Zhang, R. A. Abdallah, P. T. Krein, and N. R. Shanbhag. 2011b. Overcoming the power wall: Connecting voltage domains in series. In Proceedings of the 2011 International Conference on Energy Aware Computing (ICEAC’11). 1--6. DOI:http://dx.doi.org/10.1109/ICEAC.2011.6403629Google ScholarGoogle Scholar
  33. P. S. Shenoy, I. Fedorov, T. Neyens, and P. T. Krein. 2011a. Power delivery for series connected voltage domains in digital circuits. In Proceedings of the 2011 International Conference on Energy Aware Computing (ICEAC’11). IEEE, 1--6.Google ScholarGoogle Scholar
  34. Infineon Technologies. 2013. High Performance DrMos TDA21220. http://www.infineon.com/cms/en/product/power/dc-dc-converter/dc-dc-integrated-power-stage/drmos-integrated-power-stage/TDA21220/productType.html?productType=db3a3044243b532e0124de3165386adc.Google ScholarGoogle Scholar
  35. Z. Toprak-Deniz, M. Sperling, J. Bulzacchelli, G. Still, R. Kruse, S. Kim, D. Boerstler, T. Gloekler, R. Robertazzi, K. Stawiasz, T. Diemoz, G. English, D. Hui, P. Muench, and J. Friedrich. 2014. 5.2 distributed system of digitally controlled microregulators enabling per-core DVFS for the POWER8TM microprocessor. In Proceedings of the 2014 IEEE International Solid-State Circuits Conference Digest of Technical Papers (ISSCC’14). 98--99. DOI:http://dx.doi.org/10.1109/ISSCC.2014.6757354Google ScholarGoogle ScholarCross RefCross Ref
  36. V. Sprague. 2013. Solid Tantalum Surface Mount Chip Capacitors. Vishay Sprague, Santa Clara, CA.Google ScholarGoogle Scholar
  37. J. Wei. 2004. High Frequency High-Efficiency Voltage Regulators for Future Microprocessors. Ph.D. Dissertation. Virginia Polytechnic Institute and State University.Google ScholarGoogle Scholar
  38. S. C. Woo, M. Ohara, E. Torrie, J. P. Singh, and A. Gupta. 1995. The SPLASH-2 programs: Characterization and methodological considerations. In Proceedings of the 22nd Annual International Symposium on Computer Architecture (ISCA’95). ACM, New York, NY, 24--36. DOI:http://dx.doi.org/10.1145/223982.223990 Google ScholarGoogle ScholarDigital LibraryDigital Library
  39. Y. Zhan and S. S. Sapatnekar. 2008. Automated module assignment in stacked-Vdd designs for high-efficiency power delivery. ACM Journal on Emerging Technologies in Computing Systems (JETC) 4, 4 (2008), 18. Google ScholarGoogle ScholarDigital LibraryDigital Library
  40. W. Zhao and Y. Cao. 2006. New generation of predictive technology model for sub-45 nm early design exploration. IEEE Transactions on Electron Devices 53, 11 (2006), 2816--2823.Google ScholarGoogle ScholarCross RefCross Ref
  41. X. Zhou, P.-L. Wong, P. Xu, F. C. Lee, and A. Q. Huang. 2000. Investigation of candidate VRM topologies for future microprocessors. IEEE Transactions on Power Electronics 15, 6 (2000), 1172--1182.Google ScholarGoogle ScholarCross RefCross Ref

Index Terms

  1. Managing Mismatches in Voltage Stacking with CoreUnfolding

        Recommendations

        Comments

        Login options

        Check if you have access through your login credentials or your institution to get full access on this article.

        Sign in

        Full Access

        • Published in

          cover image ACM Transactions on Architecture and Code Optimization
          ACM Transactions on Architecture and Code Optimization  Volume 12, Issue 4
          January 2016
          848 pages
          ISSN:1544-3566
          EISSN:1544-3973
          DOI:10.1145/2836331
          Issue’s Table of Contents

          Copyright © 2015 ACM

          Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

          Publisher

          Association for Computing Machinery

          New York, NY, United States

          Publication History

          • Published: 16 November 2015
          • Accepted: 1 October 2015
          • Revised: 1 September 2015
          • Received: 1 April 2015
          Published in taco Volume 12, Issue 4

          Permissions

          Request permissions about this article.

          Request Permissions

          Check for updates

          Qualifiers

          • research-article
          • Research
          • Refereed

        PDF Format

        View or Download as a PDF file.

        PDF

        eReader

        View online with eReader.

        eReader